148页深度报告!前道设备全产业链梳理,全面分析九类前道设备|附完整报告下载

雷锋网

共 17886字,需浏览 36分钟

 · 2021-06-26

报告出品方/作者:华西证券,孙远峰 熊军 王海维 王臣复
目前中国半导体国产设备自给率仅约12%,其中前道设备中含金量最高的关键九类设备的国产化率皆<10%,甚至在高端工艺中的国产化率近乎为0。国产前道设 备商还有极大的增长空间,前道设备也已成为国家的重点扶持方向。目前国产九类前道设备技术逐渐成熟,多数达14nm先进制程,其中国产商最具潜力的领域 包括刻蚀、CVD、PVD、清洗、量测等,国内增存量替代空间大。
完整PPT报告内容,请在雷锋网公众号对话框回复关键词“ 21624”获取。

1


半导体前道设备的价值为何?


前道设备是用于芯片制造的核心设备,没有前道设备就没有芯片,是半导体产业链的关键支撑,其中又以集成电路为主要应用领域。

半导体全产业链:设计—制造(前道)—封装(后道)
半导体下游主要应用为集成电路(2018)
前道设备:行业价值量大且集中度高,占Fab资本支出的70%
前道设备属于资金/人才/技术密集的行业,技术领先是行业竞争关键。根据SEMI数据,2020年全球半导体设备产值为608亿美元。前道设备在产 业链中属于轻资产的技术密集型行业。技术领先的设备才能生产出先进制程的芯片,因此技术是垄断市场的关键。
前道设备竞争格局寡头垄断,行业领先者享有大部分利润:近年来芯片制造工艺已经发展至14nm以下的先进制程,对于前道设备的技术门槛要 求很高,全球前五大设备企业占市场份额70%,形成寡头垄断的市场格局,行业中少数的企业享有大部分的市场利润。
半导体设备支撑10倍大的芯片制造产业,具有重要放大作用。
前道设备:九种设备覆盖八类工艺,是将晶圆制成芯片关键。
单 晶 硅 片 制 造:拉单晶 磨外圆 切片 倒角 削磨/研磨 CMP粗抛
制 造 ( 前 道 工 艺):氧化退火 CVD沉积 光刻曝光 刻蚀 离子注入 PVD镀膜 CMP抛光 清洗(重复数十次:通过前道量测设备(反复控制工艺质量))
封 测 ( 后 道 工 艺 ):晶圆检测 背面减薄 晶圆切割 引线键合 模塑 封装成型 装箱
前道九类设备:光刻/刻蚀/CVD三项设备市场规模最大
炉式设备
具体工艺/涉及材料:• 氧化/扩散/退火工艺 • 提供硅片氧化退火处理 以达到要求的氧化环境 • 硅片、氧气惰性气体等
国外厂商:AMAT、TEL、日立 • 国内厂商:北方华创
CVD化学气 相沉积设备
具体工艺/涉及材料:• 各种材质薄膜生长工艺 • 通过化学反应将气体物质 沉积在硅片上形成薄膜 • 前驱气体、惰性气体
国外厂商:AMAT 、LAM、TEL • 国内厂商:沈阳拓荆
光刻机
具体工艺/涉及材料:• 曝光工艺 • 将掩模版上图形通过曝光/ 显影转移至光刻胶衬底上 • 光刻胶、惰性气体等
国外厂商:ASML、Canon、Nikon 光刻机 • 国内厂商:上海微电子
刻蚀设备
具体工艺/涉及材料:• 各种材质刻蚀工艺 • 干法刻蚀通过电浆将光刻 胶上的图形转移至硅片上 • 靶材、氧气、惰性气体
国外厂商:LAM、TEL、AMAT • 国内厂商:中微公司、北方华创
前道九类设备:PVD/清洗/量测设备市场规模位于第二梯次
前道三大关键设备:光刻/刻蚀/CVD沉积,决定芯片技术节点
图形转移至芯片的制程如下:(1)薄膜沉积工艺(CVD/氧化)在晶圆上沉积一层待处理的薄膜。(2)光刻工艺:把光刻胶涂抹在薄膜上,再通过 曝光光刻和显影将光罩上的图形转移至光刻胶(3)刻蚀工艺:刻蚀晶圆上未被光刻胶覆盖的区域,将光刻胶上的图形转移到晶圆商。最后去除光 刻胶后,即完成图形从光罩到晶圆的转移。
先进制程采用多重图形工艺,实现芯片微缩:芯片的先进制程总共需要数十层掩模版和数百道的刻蚀和薄膜沉积工艺。在紫外光波长只有193nm的 情况下,为了使得芯片达到14nm以下的线距,需通过反复循环刻蚀和薄膜沉积,逐层将掩膜板上的细微结构图形转移到晶圆上。
多重图形工艺中,光刻/刻蚀/CVD工艺的步骤数量比例大致为 1:4:2。因此,刻蚀和CVD的工艺用量提升最多,光刻则是单次工艺的成本最高。
前道设备价值持续提升:摩尔定律使得每代芯片降本增效
前道设备的价值量未来十年可望随着摩尔定律持续提升。全球芯片制造龙头台积电已经计划在2025年以前陆续推出5nm/3nm/2nm制程芯片,2030 年以前持续推进3D芯片制造和系统性封装技术。为了获得摩尔定律带来的红利,芯片制造企业将通过前道设备在单位面积内放入更多的电晶体。
未来十年,行业已经具备延续摩尔定律的技术工艺。即使行业部分声音认为半导体距离制程微缩的极限已经不远,摩尔定律将逐渐失效。但是纵 观来看,只要科技持续创新,就仍然有方法提高电晶体的密度,例如:3D芯片制造技术和系统性封装技术。
摩尔定律十年内不会消失:SoC结合SiP技术延续工艺革新
摩尔定律十年内已经找到技术发展方向, 未来主要将结合SoC和SiP两条路径,带动 前道设备的需求:
• SoC系统级芯片 (More Moore) SoC是从设计角度出发,通过电路设计 将系统所需的组件高度集成到一块芯 片上,在一个芯片上集结了各种功能 模块,拥有更高的芯片密度和运算能 力。但是,近年来SoC芯片的生产成本 越来越高,技术难度和障碍升高,逐 渐出现技术瓶颈,因此行业开始同步 发展SiP系统级封装技术,将SoC芯片 和存储芯片或其他功能芯片封装集成 为一颗新的芯片,提高芯片的性能和 缩小尺寸。
• SiP系统级封装(More than Moore) SiP是从封装的角度出发,把多个半导 体芯片和元器件封装在同一个芯片内 ,组成一个系统级的芯片。例如将存 储芯片和系统级芯片SoC通过穿孔的方 式连接在一起,使得每单位集成更多 晶体管,大幅提高芯片性能,缩小芯 片尺寸。突破了传统封装PCB线宽尺寸 较大的瓶颈。
SoC系统级芯片:鳍式电晶体FET是实现7nm以下的关键技术
鳍式电晶体FET结构技术可以让闸级长度(芯片线距)持续微缩,目前7nm/5nm技术节点为FinFet,预计2022年后的5nm/3nm将往GAAFet发展。 
 FinFet已成为14nm以下芯片主流结构:在过去的制程节点推进中,主要是通过缩小电晶体的闸级长度来减少芯片尺寸。但是随着闸级长度变 小(闸级和电子通道接触面积也变小)进而导致闸级电压调节能力变弱(导致短路),因此,FinFet立体结构可将闸级接触面积由二维增加 为三维,让减少闸级长度的同时也能增加闸级接触面积, FinFet已成为14nm以下芯片主流结构。
GAAFet(Gate-All-Around)预计为3nm以下芯片的电晶体结构,有望在未来几年保持晶体管持续微缩发展:GAAFet是一种多闸极电晶体,通 过环绕式电子通道设计,增加闸级的接触面积。GAAFet是当前FinFet的进化版晶片生产技术,使晶片更小,处理速度更快且更省电,是一 项全新的电晶体架构。根据行业预期,三星和台积电均已经投入GAAFet技术研发。
3D芯片制造技术:从IC设计角度集成三维结构芯片提升效能
3D芯片制造技术已经领先应用于3D NAND存储芯片,未来将有更多芯片尝试往3D结构方向发展。
• 3D芯片制造技术,是除了3D封装技术以外,从设计角度集成三维结构芯片的方法,但是技术难度高,目前主要应用于存储芯片NAND和DRAM。 
• 存储芯片通过三维结构增加存储容量和性能:(1)3D NAND技术节点包括64层/96层/128层,行业预期未来将叠加至500层,技术工艺还会持 续推进。目前三星等国际厂商的技术节点即将退出128层结构的3D NAND。(2)DRAM技术节点包括1x/1y/1z,目前制程已朝向1y/1z的三维结 构发展,未来随着存储容量提高和芯片尺寸缩小,结构的层数可望持续增加。
SiP系统性封装:从封装角度提高芯片性能的关键技术

3D系统性封装SiP是在芯片线距微缩难度提升的情况下,延续摩尔定律单位电晶体集成度增加、提高芯片性能的关键技术。 

3D封装大幅提升芯片效能,是未来三至五年的关键发展方向。(1)2.5D封装的金属連線尺寸大約10微米。2.5D封装通过在硅基板上进行矽穿 孔(TSV),间接连接起逻辑芯片和存储芯片。(2) 3D封装的金属连线尺寸大约为10nm。3D封装是将芯片堆叠进行层间穿孔,直接连接起逻辑 电路和存储芯片。在芯片上直接穿孔的孔径比起在硅基板上穿孔的尺寸微小近千倍,因此,3D封装比起2.5D封装的技术难度非常大。

3D封装相比2.5D技术可缩短芯片尺寸、减轻重量达40-50 倍; 根据行业数据,在速度方面,3D封装可节约的功率使元件运转速度加快而不增 加能耗同时,这种封装在集成度、性能、功耗等方面更具优势。虽然实际意义上的3D系统性封装技术尚处于研发阶段,但近几年可以看到越 来越多的 CPU、GPU、 存储器开始应用 TSV 技术朝向三维结构发展,技术出现逐渐成熟的趋势。

前道设备市场规模上升:受益于芯片材料/结构/工艺趋向复杂
前道设备的市场规模随技术推动而持续上升,一代芯片技术依赖于一代工艺,而每代工艺都仰赖于前道设备实现。
材料/结构/工艺的进步,使得前道设备的技术难度越来愈高,1990至2020年半导体设备市场规模持续增长。(1)材料种类进步:Poly-Si、钨、铝 —> 钨、铜—> 钨、铜、钴—>新材料。(2)结构技术进步:2D平面结构 —> FinFet结构—> GAAFet结构。(3)制程工艺进步:光刻技术—> 多 重图形工艺—> 深紫外EUV+多重图形工艺
前道设备技术节点推进:每代设备资本支出平均提升30%
前道设备资本支出未来五年将大幅增加。逻辑芯片、DRAM和3D NAND未来五年技术持续革新,平均每代前道设备投资额增加30% 。 
(1)逻辑芯片从28nm至7nm设备投资额增加100%。(2)DRAM从25nm至16纳米设备投资额增加40%。(3)3D NAND从2D至3D64层投资额增加60%。
前道设备向先进制程转移,是未来发展必然趋势
前道设备行业高度垄断:龙头企业以技术领先垄断前道设备
前道设备技术难度高、行业壁垒高,前五半导体设备商分别在不同前道设备细分领域具备技术优势: 
• 前道设备的行业集中度增加:2018年全球前十大半导体设备商占据市场份额的80%,其中又以前道设备占比最大(占比80%),因此前十大半导体设备 商皆以前道设备为主。前道设备的技术门槛随着技术革新越来越高,导致行业集中度越来越高。
• 前五名半导体设备商,掌握细分领域市场份额大的前道设备:(1)AMAT是薄膜沉积设备的CVD和PVD设备龙头。(2)LAM是刻蚀设备龙头。(3)京东电子在刻 蚀设备、CVD设备稳居第二名。(4)ASML是光刻机龙头。(5)科磊半导体是检测设备龙头。因此,作为半导体设备商,前道设备是主要的竞争关键。

2


国产前道设备商迎来机遇—

国内下游制造密集扩产、国产设备技术成熟

5G/IoT/AI应用驱动:2020年全球半导体设备市场四千亿
新技术和电子产品—>新一代的芯片工艺—>新一代前道设备需求。半导体设备行业拥有领先反映半导体行业变化的特质,半导体行业内存 在“一代设备,一代工艺,一代产品”的经验,5G/IoT/AI等新技术兴起,将促使半导体设备出现新一代设备更换需求。
至2020年,全球半导体设备销售额预计将达600亿美元,近似于4000亿元人民币。根据SEMI研究数据,我们认为,半导体设备市场增长主要 受益于三点:(1)新一代芯片制程工艺提升半导体设备的价格和数量。(2) 5G/IoT/AI等新应用带来芯片制造商扩产需求。(3)中国半 导体芯片自主可控趋势下,中国半导体Fab大规模扩产时对半导体设备的增量需求。
中国芯制造需求驱动:2020年中国半导体设备市场二千亿元
中国将成为全球最大的半导体设备销售市场,国产设备商迎来机遇。根据SEMI数据,至2021年,中国半导体设备销售额预计为2,161亿元。其 中,中国大陆销售额预计为1,151亿元、中国台湾销售额预计为1,010亿元。国内巨大的市场需求为国产设备提供了发展机会。
中国半导体设备的整体国产化率仅12%,其中,前道设备中含金量最高的关键九类设备的国产化率皆<10%,甚至在高端工艺中的国产化率近乎 为0。因此,国产前道设备商还有极大的增长空间,前道设备也已成为国家的重点扶持方向。
产业转移机遇:中国为芯片制造中心,全力建构中国芯产业链
中国大陆正是第三次半导体产业链转移的目标地区。每一次半导体转移皆会形成世界级半导体设备公司。全球半导体三次转移过程如下:(1)美国转至日本:在日本成就了一批世界级半导体材料企业,直至今日依然垄断全球半导体原材料供应。(2)日本转至韩国和中国台 湾:在韩国成就了三星、LG、海力士等存储芯片巨头,在中国台湾则成就了全球半导体制造龙头台积电。(3)从中国台湾转移至中国大 陆:国产化趋势将助力中国半导体巨头企业出现。我们预期中微公司将是此趋势下的核心受益者。
中国大陆的芯片自给率仅15%,为了实现国内半导体产业链安全,前道设备自主可控将是长周期趋势。根据SEMI数据,至2018年,中国大陆 集成电路市场规模为10,540亿元,其中国产集成电路市场规模为1,618亿元,自给率仅15%。为了解决国内大规模的芯片贸易逆差,中国开始 大规模投入芯片Fab制造,进而带动半导体设备的大量需求。

3


九类前道设备——国产商最具潜力的领域:

刻蚀、CVD、PVD、清洗、量测

1、光刻机:技术最难的曝光工艺,完成芯片设计图形转移
光刻机:芯片设计图形转移工艺,全球市场份额每年近640亿元
光刻机的曝光工艺:通过紫外光源照射掩模版,将掩模版上的图形缩小十倍刻印在覆盖晶圆的光刻胶之上,完成图形从掩模版转移至芯片。光刻工艺的流程为(1)光刻胶涂抹:将光刻胶按照离心力均匀涂抹在晶圆上;(2)前烘烤:软烤光刻胶;(3)光刻曝光:使用光学系统,以紫外光照 射掩模版,使得光刻胶上没有掩模版遮蔽的区域化学键会遭到破坏,过程中光源从掩模版投影到晶圆上的图形节点大约会缩小4至10倍,因此,光 刻曝光是芯片制程微缩的关键工艺。例如10nm的芯片是通过100nm的掩模版投影形成;(4) 后烘烤:硬烤光刻胶。(5)化学显影:使用显影液将化 学键被破坏的光刻胶溶解去除,在光刻胶上显影出芯片图形,分为正光阻和负光阻两种,现在普遍使用正光阻;
光刻工艺的设备主要分为两种:(1)光刻曝光设备;(2)涂胶显影设备。其中曝光光刻设备的技术难度和价值较高,是关键前道设备之一。
光刻机:技术精度要求高,设备单价随技术革新翻倍增长
光刻机是Fab中价格最贵的设备;芯片生产中需要20至30次光刻,耗费时间约为全部工艺的40%至60%;工艺成本极高,约为整个芯片制造工艺的30%。
光刻机的价格随技术工艺革新翻倍增长:12寸0.13微米制程的光刻机价格约为1亿元,而12寸45纳米沉浸式光刻机约为4亿元,12寸5纳米极紫外光 (EUV)的光刻机更高达8亿元,超过一台F22战斗机的价格,由于光刻机的技术高、生产难的特性,全球只有ASML能提供先进制程的光刻设备。 
光刻机的制造技术难点:在于将光学系统应用于纳米级制程时,光学系统将非常复杂,技术涉及精密光学、精密轨迹运动控制、高精度环境控制 等多项先进技术,且每一项技术的精密程度皆会影响工艺的结果,因此,即使价格高昂产能依旧不足,最先进的EUV光刻设备甚至呈现供不应求。
光刻机:核心零部件为光源、物镜、精密控制系统
光刻机中主要的装置为光学系统,其中光源、物镜是最关键的零部件,分别掌握着光源波长和数值孔径,是影响芯片制程线距的关键。 
光刻原理:光源产生光束经由矫正器和能量控制器达到适当能量,照射在掩模版上,通过物镜补偿光学误差,将图形缩小映射在涂抹光刻胶的晶圆。
光源:ArF沉浸式紫外光(14nm)至EUV极紫外光(10nm以下)
ArF紫外光源在沉浸式光刻技术拓展下的物理极限是45nm,即使通过多重图形工艺可以延续至14nm,但是10nm以下势必将采用EUV光源。 
光源的创新和投影系统的改进是光刻机性能增加的关键。每一次光源改进都大幅提升了芯片的制程节点,从ArF紫外光进步至EUV紫外光,光源 波长更是大跃进缩短(从193nm至13.5nm),即使EUV光刻机因技术困难而产能受限,但随着技术成熟,EUV光刻机势必为先进制程的关键设备。
ArF沉浸式紫外光+多重图形工艺:制程从1.6 μm缩至14nm

ArF沉浸式紫外光结合多重图形工艺突破光源波长的技术极限,实现芯片制程微缩至14nm,为2020年国内芯片制造商量产14nm芯片的主要光刻技术。沉浸式光刻技术:2000年,ArF紫外光的193nm成为技术瓶颈,继续向下缩短光源波长非常难,难以再通过降低曝光波长提高分辨率。因此,ASML 联手台积电在2007年开发出沉浸式光刻技术,由于透镜的折射率在水中的差异比空气中小,通过将透镜和晶圆浸入水中,紫外光经由透镜与水之 间反射截面较小,数值孔径可从传统光刻的0.3提升为沉浸式式光刻的1.35,使得芯片制程从65nm得以向下微缩至40nm。 

多重图形工艺是沉浸式光刻技术下芯片制程从40nm向下微缩至14nm的关键。多重图形工艺可分两种:以多次光刻为主的间距分离技术(LELE)和 以多次刻蚀加上薄膜沉积工艺为主的间距分割技术(SAMP),由于前者需经过多次重复曝光,对于对准精度要求苛刻,导致成本较高;相较之下, SAMP技术只需一次高等级光刻工艺,剩余芯片微缩主要通过刻蚀和沉积工艺完成,从二重图形(SADP)跨越至四重图形(SAQP)在成本上也不会增 加太多,因此已成为目前芯片从40nm向下微缩至14nm的关键工艺。

EUV极紫外光:10nm以下制程关键,2020年进入需求爆发期

EUV极紫外光刻机可望迎来需求爆发期,台积电2019年已经成功基于EUV极紫外光量产7nm+芯片,全球主要芯片制造商也陆续进入14nm以下先进制程。 

集成电路领域的逻辑芯片/存储芯片(DRAM/NAND)皆需要使用波长为13.5nm的极紫外光EUV,实现先进制程10nm/7nm/5nm/3nm的芯片生产。 

EUV技术在产能和功耗等效能正在逐渐提升:EUV紫外光刻机的技术原理是将高功率的二氧化碳激光以每秒5万次打在直径为30微米的锡液滴上,通 过高功率激光蒸发锡滴,使得电子脱落激发电浆产生极紫外光。极紫外光设备造价昂贵在于其技术难度非常高。

技术难点包括:(1)极紫外光的 收集:极紫外光容易被镜头玻璃和各种材料吸收,因此需在真空环境下操作,并使用布拉格反射镜代替透镜,一台EUV光刻机得经过十几面反射 镜,将光从光源一路反射至晶圆,但是过程中光线也会被镜面不断吸收,导致最后的光线剩下2%。(2)射线功率提升:光线被多重吸收导致损耗 过多功率不足。(3)掩模版和光刻胶的技术革新:EUV光刻机采用不同的光源和反射光进行光刻,因此掩模版和光刻校的化学反应将不同,材料也 需要对应改进。(4)产能的提升:2017-2019年EUV光刻机产能从125片/H提升至155片/H,但相较于沉浸式光刻机的275片/H,还有提升空间。

EUV极紫外光:提升光刻图形的分辨率,芯片制造高效化
EUV极紫外光刻机相较于上一代ArF沉浸式紫外光源在图形分辨率、制造时间、芯片设计的空间和芯片布局面积等皆具有优势。 
 EUV技术导入芯片图形保真度可望提升70%、图形面积减小50% :根据三星数据,采用EUV技术的光刻图形,保真度显著优于ArF的多重曝光工艺,其 差距达到70%。在版图设计方面,EUV可以简化布线,甚至降低设计复杂性,EUV技术与ArF的多重图形技术相比,面积可缩小达50%。。 
EUV技术大幅精简光刻次数且成像效果更好:EUV可以刻出更细微的图形,减少多重图形工艺步骤数,使芯片微缩制造更为简单、成像效果更佳。• EUV技术节省制造时间:单次EUV曝光可以取代3次或更多的光学曝光,每个掩膜层制造可以节省大约1.5天时间
EUV极紫外光:支撑多重图形工艺延续,降低芯片制作成本
EUV极紫外光刻机大幅降低多重图形工艺的步骤数,进而降低芯片制造的成本和难度,提升芯片良率。 
EUV光刻机导入7nm先进制程后大幅降本增效,是7nm以下制程不可替代的关键设备 • 减少50%以上的工艺步骤数量和复杂度,提升产品良率:采用EUV光刻机刻出更细的图形,取代过多的多重图形工艺。在多重图案工艺下,从二重图 形/四重图形/八重图形的循环过程中,制程复杂度呈现几何级别上升。因此,多重图形工艺执行的越多,良率的问题倍数和困难度将指数增加。
 在实际制造流程中,EUV+SADP(双重图形工艺)可以取代 ArF沉浸式光刻+SAQP(四重图形工艺),使得工艺数量减少一半以上。 
降低50%以上的生产成本:EUV降低了芯片制造过程中成本最高的光刻次数,有效降低多重图形工艺导致成本倍增的情况。
2、刻蚀设备:多重图形刻蚀工艺,雕塑芯片往10nm以下微缩
刻蚀设备:雕塑芯片使制程微缩,全球市场份额每年近770亿元
刻蚀工艺:通过多重图形工艺“多次刻蚀”,使先进制程芯片线距得以持续微缩。预计2020年EUV光刻机导入后,多重图形工艺仍然将继续沿用。
刻蚀工艺在先进制程大量采用:SAMP间距分割技术(也称侧壁图像转移技)是多次刻蚀为主的多重图形工艺。SAMP通过一次高等级光刻,结合多次刻 蚀和CVD沉积工艺制作出芯片的细微图形,相较于LELE多重曝光技术,SAMP在10nm以下的复杂制程更具成本优势,已成为先进制程芯片的主流技术工 艺。SAMP可分为SADP和SAQP两种,其中,SADP二重图形工艺是通过刻蚀将原图形的制程缩小2倍,SAQP四重图形工艺是将图形缩小为4倍。
刻蚀工艺数量大幅增加:在广泛应用的四重图形工艺中,光刻/刻蚀/CVD的工艺数量比例大致为1:4:2,因此刻蚀的工艺数量大幅增加。刻蚀设备需求量快速增长:受益于多重图形工艺,先进制程Fab的刻蚀工艺步骤增加,为了保障工艺稳定,一道刻蚀工艺即由一台刻蚀设备负责。
刻蚀技术:等离子体刻蚀(干法)是先进制程的主流工艺
等离子体刻蚀技术(干法刻蚀)可以刻蚀出“纳米”级别的孔槽,因此,在集成电路先进制程中已成为目前主流技术,占比95%以上 。 
干法刻蚀是应用等离子技术的刻蚀方法,通过反应腔生成电浆与硅片发生物理或化学反应(或两种反应结合),从而去掉暴露的表面材料。干法刻 蚀的优点在于图形保真度好、图形分辨率高,且可用于湿法刻蚀很难刻蚀的薄膜如氮化硅等材料,但设备复杂昂贵,是集成电路领域主要刻蚀技 术。 
湿法刻蚀是以液体化学试剂以化学方式(如酸、碱和溶剂等)去除硅片表面的材料。湿法刻蚀的化学反应拥有选择比高(正确的去除要刻蚀的材料 而不影响其他材料),反应速度快(刻蚀效率高)等优势,但是化学反应不具有方向性(各项同性的刻蚀),难以控制物质和器件的反应面积,因 此刻蚀图形保真度低、清除性差,大多只使用在刻蚀尺寸较大( 3微米以上)的图形上。目前在大规模集成电路制造中,正被干法刻蚀所替代。
ALE原子层刻蚀:较等离子体刻蚀精准的新一代刻蚀技术
原子层刻蚀是新一代刻蚀技术,用于刻蚀精细图形结构,有鉴于其刻蚀速率慢、成本较高,并不会取代传统等离子体刻蚀,而是作为技术互补。 
等离子体刻蚀遇到困难,多重图形工艺使得芯片结构更小更复杂:等离子体刻蚀通过高温高压轰击进行刻蚀,虽然快速高效,但是容易损坏芯片结 构,且在反复刻蚀循环后,一个电晶体闸极结构可微缩至10nm,制程中只允许在1nm范围变化,等离子体刻蚀在深宽比/选择比/均匀性上出现难 关。 
原子层刻蚀(ALE)具备超高选择比和均匀性,可以更精准控制刻蚀区域。ALE技术将刻蚀工艺分为:一、改变表面性质(将氯气分子吸附材料表面 形成氯化层);二、去除已变化的表面物质(引入氩离子去除表面的氯化层)两个步骤,此刻蚀技术具备自限制性,表面饱和及反应停止。因此,刻蚀 工艺的控制性高、均匀度好且为各项同性。目前,主要应用于传统刻蚀无法处理的细微的孔洞和结构,随着结构精细化,未来应用场景可望增加。

ALE原子层刻蚀:在先进工艺精细结构的应用需求日益增长

原子层刻蚀目前可应用在电晶体SAC结构、EUV光刻、GAAFet工艺,随着芯片更精细和出现三维结构(FinFet和3D NAND),ALE的应用需求正日益增长。 

原子层刻蚀在先进制程工艺中用于要求精细的结构,2020年市场规模达4.5亿美元。(1)电晶体SAC工艺应用:SAC工艺在晶体管栅极上方添加保护 性介电层,防止触点对栅极短路。ALE可用于精准塑造出接触孔轮廓,而不损伤间隔层;(2)EUV光刻工艺应用:导入EUV光刻后EUV掩模版会引起线 边源粗糙,目前可用ALE的高均匀度将边源粗糙变平滑。(3)GAAFet工艺应用:需要制作系硅锗和硅交替层组成的超晶格机构。在工艺过程中,硅 锗层必须被去除而不接触硅层。此工艺目前只能通过ALE完成。综上所述,根据晨星公司数据,至2020年,ALE在内的选择性刻蚀市场达4.5亿美元。

3、CVD设备:多重图形沉积工艺,堆叠芯片多层结构
CVD设备:多重图形工艺+金属层堆叠,推动CVD工艺持续增加
技术节点愈先进的芯片金属层数愈多,大幅提升CVD工艺的介电质薄膜沉积的用量。金属层的介电质材料需通过CVD逐层沉积,例如0.18微米的芯片 工艺金属层数为4至8层,65nm工艺为11层,先进制程20nm以下的芯片金属层数可达20层以上。通过CVD工艺增加金属层数可以使得芯片单位面积内 的连线密度增加,从而减少芯片的总体面积;有利于设计出充裕供电的电源网络;解决布线拥塞的问题。
ALD原子层沉积:较等离子体CVD精准的新一代薄膜沉积技术
ALD原子层沉积是下一代的化学薄膜沉积技术,具备表面自限制、自饱和吸附的特点,制备出来的薄膜具有优异的精细度,在先进制程中被广泛应用。 
ALD原子层沉积工艺流程:(1)首先将第一种前驱体注入反应腔中,使得基材(一般是晶圆)表面皆吸附上前驱体,此反应具有自限制性的特性, 一旦晶圆全区域被覆盖,吸附会随即停止,过剩的前驱体不会再进行反应,(2)清除多余未反应的前驱体及副产物。(3)将第二种前驱体注入并 吸附基材表面,和第一层的表面附着物发生化学反应,进而生成所需的薄膜材料,此反应也是自限制的,一但前驱体耗尽,反应将立即停止,因此 控制性较高。(4)清除多余未反应的前驱体和副产物。通过反复进行以上工艺,将可以生成出制程所需的薄膜厚度。
ALD原子层主要分为两种,传统加热ALD、等离子体ALD:传统ALD技术由热能驱动前驱体完成反应。等离子体辅助式ALD技术则是目前新兴技术,可 以在更低温的环境下,制作过去无法实现的特殊薄膜材料。
国际VS国内:AMAT技术全球领先,国产沈阳拓荆技术急起直追

AMAT的CVD设备协同效应占据第一,大致和LAM、TEL三分天下;国产方面:沈阳拓荆棘在集成电路领域技术领先,14nm技术节点接近国际技术水平。 

国际刻蚀设备商:AMAT的薄膜沉积设备技术水平全球领先,凭借产品组合的协同优势占据第一,但整体而言,各家大厂的CVD设备技术在伯仲之 间。 

国产刻蚀设备商:国内CVD设备供应商有沈阳拓荆、北方华创、中微公司。其中,沈阳拓荆是国内唯一具备供应于12寸晶圆厂的先进制程集成电路 领域的CVD设备供应商,技术节点已达到14nm。北方华创CVD设备主要用于8寸晶圆厂各个领域。中微公司的MOCVD设备主要用于LED芯片的制备。

4、PVD设备:金属化沉积工艺,实现芯片导线互连

PVD设备:互连工艺中铜是金属导线主要材料

铜是互连层之间的主流金属导线材料,在现有材料中具备较低的电阻、更快的传输速度、较高的可靠性和性价比。 

铜互连的技术难度随金属层数增加而提升:铜金属导线是金属层之间互连的关键,随金属层数增加,技术制备随着制程微缩而变难,在铜导线的制 备过程中还需沉积鉭(Ta/TaM)薄膜作为阻挡层,用来防止铜污染介电质层,阻挡层的薄膜越来愈小越来越窄,因此技术难度高。 

铜取代铝,采用大马士革工艺备制金属导线:铜是近二十年来金属导线的主流工艺,铜的导电性比铝更好,且在先进制程微缩中铜导线可以随着晶 体管尺寸进行缩放。铜导线制程一般采用PVD电镀工艺,又称为大马士革工艺,将铜镶嵌在刻蚀好的介电质图形中。铝金属导线的缺点:铝为在铜 以前的几十年主要的互连线材料,但是铝容易产生尖楔现象,引发PN结失效造成短路,因此逐渐被铜取代。

钨:用于局部互连和连接电晶体的接触孔主要材料
钨是局部互连层和接触孔主流材料(以CVD制备),具有较低电阻率而广泛应用,但是随制程推进,接触孔越来越小且窄,钨在12nm制程遇到物理极限。• 钨沉积薄膜的厚度已经遇到物理限制,随着晶体管微缩至12nm后,钨无法再进一步缩小。因此,10nm以下的先进制程芯片钨开始被钴部分取代。
钴:较钨和铜电性更好,是10nm以下先进制程关键材料
钴金属材料可以突破现有金属材料的物理限制,释放10nm以下先进制程芯片的性能,是先进制程的关键材料。
钴:先进制程导入钴材料,使芯片运行速度提升
钴:已经在7nm制程取代钨和铜的部分应用
摩尔定律下芯片材料必须满足技术制程微缩,得以改善芯片性能、功耗和单位面积成本,如今钴将取代钨和铜延续摩尔定律发展。• 铜和钨在12纳米的局部互连和接触孔迎来物理极限,导致释放FinFET工艺芯片出现瓶颈,钴金属有望在10nm以下先进制程中延续摩尔定律发展。 
钴目前只会取代部分的钨和铜,不会完全取代:根据TEM数据,7nm制程芯片中的接触孔,钴只有取代一半(下层)的接触孔,另外一半(上层) 依然采用钨,由于在实际应用上制程尚未成熟,钴在接触孔还无法完全取代钨,但是应用量可望持续增长。
5、离子注入设备:离子掺杂工艺,激活芯片生命力
离子注入设备:取代扩散技术成为纳米级芯片主流参杂工艺
离子注入技术已经逐渐取代扩散技术,受益其技术特性在先进制程芯片的注入参杂物浓度和深度轮廓分布更为精密。 
离子注入已经成为主流掺杂技术:掺杂制程可分为扩散和离子注入两种技术,掺杂制程是指在硅晶圆中加入杂质元素,进而改变晶圆衬底材料的电 学性质,是半导体制程中关键的工艺技术。根据掺杂的技术原理,掺杂可分为热扩散和离子注入两种,由于在现代先进集成电路生产环节中,需要 更精密的掺杂物浓度、掺杂能量、扩散情况等参数控制,离子注入的技术特性相当符合,已成为芯片主要掺杂方式。 
离子注入技术具备低温和精密度高的优势,可以在芯片制成尺寸更小、空间结构更复杂的情况下实现元素掺杂,但是会对晶格产生损伤。
离子注入技术:通过退火工艺修补注入时造成的电晶体损伤
离子注入工艺过程中的离子轰击会导致的硅晶格被破坏,必须通过退火工艺修复硅晶格才能激活掺杂后的电晶体。 
离子注入工艺导致晶格损伤。离子注入工艺中,带有能量的正离子束轰击掺杂,同时导致硅原子撞击出晶格结构而损伤硅片晶格。如果注入的杂质 量较多,还可能使得注入层比拿出非晶结构,导致间隙杂质无法表现出相应的电学性质,因此需通过高温退火过程后才能激活掺杂的杂质元素。
退火工艺被用于修复晶格损伤。通过快速热处理设备RTP,用极快的升温和在目标温度1000度C左右短暂的持续时间对硅片进行处理,快速的升温过 程和短暂的持续时间能够修复晶格缺陷,进而激活杂质,优化芯片在离子注入工艺后的导电性能。
离子注入设备:等离子体注入控制系统为关键技术
离子注入设备是前道设备中最复杂的设备之一,最主要由离子源、离子质量分析器、离子加速器、扫描系统四个零部件组成。• 离子注入工艺主要流程:(1)离子源:将气体形态的掺杂化合物原材料导入反应腔,加入电场和磁场交作用形成电浆等离子体。(2)离子加速 器:离子束从反应腔萃取出来后,将受到电场牵引而加速前进,并在通过磁场后进行二次加速,提高离子束射程。(3)离子质量分析器:通过质 量分析器筛选需要的离子源。(4)扫面系统:通过精准的离子扫描系统,保障掺杂离子能够均匀地注入至整个硅晶圆上。• 为了使得电晶体在整个芯片上能表现的正常甚至更好,在离子注入制程后,必须通过监控与量测维持制程的稳定性。
离子注入设备:中、低浓度离子束是先进制程关键技术
离子注入设备按照离子束的浓度可分为高能量、高电流、中/低电流离子束三种设备。 
离子注入工艺中必须遵守三大方向控制注入工艺的精度:(1)掺杂物类型;(2)接触面深度;(3)掺杂物浓度。在芯片制程中,必须清楚知道每 一区的掺杂浓度与接触面深度,因此,必须精准控制离子注入的能量和离子束的电流。所以为了应对不同的制程工艺和半导体材料,需要采用不同 类型的离子注入设备达到制作的需求。 
中、低电流的离子束注入设备随着芯片结构精细化而逐渐增加。常用的生产型离子注入设备主要有三种类型:(1)高能量离子束:超高能量的深 度掺杂;(2)高电流离子束:高能量的源极和漏极掺杂;(3)中/低电流离子束:低能量的精细工艺结构。
六、CMP研磨设备:化学机械抛光工艺,芯片结构平整化
CMP研磨设备:芯片结构平整化,全球市场份额每年近120亿元
CMP化学机械抛光研磨工艺是使芯片中的金属导线平坦化的关键,使得芯片可以实现更密集的电路,提高芯片效能、减小芯片尺寸。 
CMP是以化学腐蚀和机械力对加工过程中的硅晶圆或其他衬底材料进行平滑处理:CMP制程是通过将硅片固定在抛光头的最下面,将抛光垫放置在 研磨盘上,由抛光头以一定的压力压在旋转的抛光垫上进行抛光。在抛光过程中,亚微米和纳米磨粒和化学溶液组成的抛光液会在硅片和抛光垫 之间流动,在离心力的作用下,通过抛光液研磨和机械设备的摩擦作用,将化学反应物从硅片表面去除并溶解带走,实现硅片的高精度平坦化。 
CMP市场可分为设备和材料,其中CMP设备占比32%。CMP材料占比68%。
CMP研磨技术:需要抛光材料和设备之间的精密合作
CMP研磨工艺中是在设备高速运转下实现纳米级的细微研磨,需要化学材料和机械设备之间的精密合作,才能实现完美的研磨效果。 
 CMP设备:负责机械研磨抛光。CMP设备为维持研磨过程中整片芯片与研磨垫之间均匀接触,需要实时针对研磨头与研磨平台进行方向调整和向下 施压的校准,过程中参数复杂且精密。其中,主要的零部件包括(1)研磨头;(2)研磨垫整理器;(3)检测系统;(4)清洗系统。 
CMP材料:负责化学反应移除目标化合物。CMP工艺需要加入化学品和芯片表面产生的化学反应,配合机械研磨精度才能到达纳米级别,CMP材料主 要包括(1)抛光液;(2)抛光垫。
CMP材料:抛光液是含金量最高的耗材
CMP抛光液技术难度高、产品价格贵,市场价值较大。抛光液是CMP制程中必备的一次性耗材,其中配方高达一百种物质以上,技术相当复杂。抛光液影响研磨效果的关键参数包括:研磨颗粒大小、研磨颗粒含量、研磨颗粒的凝聚度、酸碱度、氧化剂含量、流量、粘滞系数等,是对抛光 效果的影响最多的抛光材料,且随着半导体材料复杂化,还需要开发新的抛光液进行研磨。
7、炉式设备:热处理工艺,芯片的氧化/扩散/退火
炉式设备:芯片热处理技术,全球市场份额每年近120亿元
炉式设备用于前道制程中的热处理工艺,在500℃至1000℃高温环境中进行氧化/扩散/退火等关键制程。 
热处理工艺主要为氧化/扩散/退火三项:芯片制程中需通过热处理工艺反复进行,热处理主要是为了热扩散、再结晶、转移物质相、去除变形等 制程。热处理工艺包括氧化、驱散、驱入、沉积、退火和热烧结等,将芯片经过热处理后得以进行下一步前道工艺。 
炉管设备主要分为立式炉/卧式炉/RTP设备三种:(1)立式炉和卧式炉是传统的炉管式热处理设备:分别以水平和垂直的方式将晶圆送至管状反 应腔中进行高温处理,其中,立式炉逐渐取代占地面积太大的卧式炉。(2)RTP快速热处理设备:是通过辐射热源照射单片晶圆进行高温反应, 由于一次专注于加热一片晶圆,热处理的控制精度大幅提升,因此,在先进制程工艺中RTP设备的应用正逐渐增加。
热处理技术:芯片的退火、氧化为主要应用领域
炉式设备:立式炉管是目前主要的热处理设备
立式炉是目前使用最广泛的炉式设备,具备批量化加热处理、低成本的优点,但是在加热和退火的速度和控制精密度不如RTP设备。 
立式炉广泛应用于8寸和12寸的集成电路制造:立式炉制程中将晶圆置于石英塔架上,塔架会缓慢垂直上升至石英工艺腔中进行热处理反应。
炉式设备:先进制程推进下,RTP技术为未来主要发展趋势
RTP快速热处理设备的加热和退火速度远高于炉管设备,从常温20℃加热至数百℃只需要一秒左右,且受热均匀,是先进制程下的关键加热设备。 
RTP主要采用灯光辐射性热源,一次加热处理一片晶圆,受热均匀性好且可以精密控制加热程度,RTP可以分为RTO和RTA两种,分别应用于快速热氧 化和快速热退火制程,两者设备主要差别在于反应腔通入的气体不同,RTO通入氧气进行氧化反应,RTA通入氩气或氮气等惰性气体避免氧化反应。
8、清洗设备:清除各种污染物,提升芯片良率
清洗设备:提升芯片良率关键,全球市场份额每年近250亿元
清洗工艺是提升先进制程芯片良率的关键:先进制程芯片微缩 —> 集成电路元件密度增加、制程复杂化,需要更多清洗工艺和更精密的清洗技术。 
清洗工艺需穿插在半导体各项前道制程中,循环多次清洗:在半导体制作的光刻-刻蚀-薄膜沉积等过程会经过多种化学反应,为了有效去除制程中 的微尘颗粒和各种工艺中化学反应造成的金属污染等,必须在制程中反复一次又一次的进行清洗工艺,以维持晶圆表面的清洁。 
清洗工艺在先进制程工艺数量达到200道以上,在制程中占比33%。根据ACRM数据,20nm芯片的清洗工艺达到200道以上,随着制程微缩将继续上升。 
污染物会影响芯片制造的良率,为晶圆厂带来损失:污染的晶圆除了造成芯片品质较差,还可能导致其他价格高昂的前道设备受损。因此,清洗工 艺是先进制程的关键工艺之一。
清洗设备:清洗工艺随着芯片精度提升而大幅增长
清洗设备是先进制程芯片提升良率的关键:摩尔定律推动芯片制程/工艺/材料精密化、洁净度标准更高—>清洗设备的精密度和需求量持续增长。
9、量测设备:优化各前道制程工艺,提升芯片良率
量测设备:前道制程质量优化,全球市场份额每年近480亿元
量测设备用于前道检测,贯穿芯片制造各道工艺环节进行质量控制和优化,确保芯片的质量和性能符合产品设计的标准。 
检测设备可分为前道制造、后道封测两大应用领域:(1)前道检测(IC制造中的质量把控):通过光学/电子束检测IC制造过程中,各个工艺造成 的芯片缺陷和工艺成功是否达到参数要求;(2)后道检测(IC制造完成后的检测封装):通过电学测试芯片效能,在芯片出货前做最后把关。 
前道检测设备(量测设备)的技术难度和设备含金量高于后道检测设备。在IC制造前道工艺中,从氧化扩散开始至光刻、CVD、刻蚀、离子注入等 各个环节会进行各种化学和物理反应,在芯片制造的技术节点精密度达纳米级情况下,任何一个环节出现技术不精确或外在环境污染等因素都会形 成芯片缺陷,导致产品良率降低甚至是大规模的芯片报废。因此,有别于后道检测设备,前道检测设备在缺陷检测和参数量测的标准皆比后道检测 设备更为严苛。
量测设备:前道检测工艺随着芯片精度提升而大幅增长
量测设备是提升先进制程芯片良率的关键:先进制程芯片微缩 —> 芯片的结构/工艺/材料复杂化—>需要更精密、更精密的量测技术和更多的设 备。
量测设备:需对应各种制程工艺,设备种类繁杂
量测设备的技术和应用复杂且多样,主要应用于光刻、刻蚀、氧化/薄膜沉积、CMP四个主要的前道制程环节。 
量测设备可分为八类设备,主要以光学检测技术为核心:晶圆制造的核心在于芯片上的成膜厚度和均匀度、图案的精确程度等参数,由于各家晶圆 厂所用的工艺不同,前道检测设备一半需要针对制程工艺进行客制化,使得量测设备种类较为繁杂。其中,应用领域主要可分为主要八类设备:厚 膜量测设备、光学OCD设备、形貌测量设备、掩模板测量设备、套刻误差测量设备、五图形晶圆检测、有图形晶圆测量设备、电子束测量设备。 
量测设备:先进制程推进下,检测技术稳定性成为竞争关键
前道检测技术至10nm以下将将需要新的检测技术和设备,检测技术的先进性和稳定性获得客户认可将是竞争力关键。 
检测技术获得客户认可是量测设备的竞争关键:量测设备有别于前道制造设备,其功能为了降低损失风险并提升芯片生产效益,虽然量测设备的技 术相较于前道制造设备较低,但是每一个制程的检测工艺都皆不能有差错,否则会显著影响芯片的成败。因此,量测设备通常需要客户长期验证, 凭借设备稳定的表现和精度的测试能力获得客户认可。 
芯片的结构/工艺/材料复杂化,推动量测技术持续发展:制程微缩和三维结构对于量测设备精确测量芯片的图案尺寸、薄膜厚度、层间对齐、图案 位置、表面形貌和电光特性带来新的挑战,同时也推动量测技术持续发展。
光刻、刻蚀、薄膜沉积、CMP的四个工艺决定了芯片中电晶体的图形和微观结构,是量测设备主要应用领域。

4


国产前道设备标的

北方华创:双结构化产业机遇,由大做强倍显张力
在全球半导体产业进入弱周期化发展的背景下,中国大陆在双重结构化机遇下,产业具备显著的跨周期发展属性,这对核心设 备材料的需求拉动是独树一帜且持续的,①产品需求结构化:手机和各类IoT终端等存量巨大的电子产品核心芯片替代率仍旧较 低,制造节点配套和升级需求持续旺盛,同时,存储器、功率器件和通用 代工等量产导入迎来“础润而雨”的战略机遇期;②区域发展结构化:资本开支和需求结构化综合拉动 大陆区域持续稳健高速成长,WSTS数据显示,2013~2018年大陆半导体产业规模年均复合增长率约11%,是唯一持续实现2位数增 长的区域,且其他次快区域复合增长率均不足2%。
晶盛机电:国产硅晶圆制造设备的领航者
中国大陆硅片供应商主要生产6英寸及以下的硅片,通过ittbank统计,目前中国12英寸晶圆厂产能已达46.3万片/月,若包含 在建和计划中的产能,12英寸晶圆厂产能可达109.8万片/月。大尺寸硅片对技术要求极高,主要技术壁垒是硅片纯度和良率问 题,其纯度需要达到11个9以上(即99.999999999%);同时大尺寸硅片对切割、倒角、磨削等加工环节工艺要求都很高,国内目 前的技术水平还难以达到高良率,很难得到客户认可,而大硅片作为最核心的半导体材料,是我国必须实现自主可控的环节。



END

推荐阅读
早报 | iPhone13传大消息:新功能上热搜,引爆苹果产业链涨停潮;比特大陆暂停矿机销售,疑全面向海外转移;三星起诉华为败诉

下一个“华为”,会不会是“荣耀”?


芯片行业的未来,将被苹果、谷歌等系统公司主导?





浏览 25
点赞
评论
收藏
分享

手机扫一扫分享

举报
评论
图片
表情
推荐
点赞
评论
收藏
分享

手机扫一扫分享

举报