SVEditorSystemVerilog编辑插件

联合创作 · 2023-09-29 03:17

SVEditor 是一个Eclipse的插件,用来编辑 SystemVerilog 文件,支持对关键字进行语法着色和结构化显示。

浏览 8
点赞
评论
收藏
分享

手机扫一扫分享

编辑
举报
评论
图片
表情
推荐
点赞
评论
收藏
分享

手机扫一扫分享

编辑
举报