FSMDesigner有限状态机设计工具

0获赞0粉丝0关注
FSMDesigner 是一个有限状态机设计工具,集成了硬件描述语言(HDL)生成器,使用 Simple-Moore FSM 模式,保证高效快速而复杂
简介
FSMDesigner 是一个有限状态机设计工具,集成了硬件描述语言(HDL)生成器,使用 Simple-Moore FSM 模式,保证高效快速而复杂的控制流,提供一个图形化的设计界面。... 更多
其它信息
地区
不详
开发语言
C/C++
开源组织
所属分类
企业应用、 教学科研相关
授权协议
GPLv2
操作系统
Linux
收录时间
2023-10-01
软件类型
开源软件
适用人群
未知

时光轴

里程碑1
LOG0
2023
2023-10
轻识收录
评价
0.0(满分 10 分)0 个评分
什么是点评分
图片
表情
全部评价( 0)
推荐率 100%
推荐