SVEditorSystemVerilog编辑插件

0获赞0粉丝0关注
SVEditor 是一个Eclipse的插件,用来编辑 SystemVerilog 文件,支持对关键字进行语法着色和结构化显示。
加载更多